Normal view MARC view ISBD view

Computer-aided design of analog integrated circuits and systems / edited by Rob A. Rutenbar, Georges G.E. Gielen, Brian A. Antao.

Contributor(s): Gielen, Georges | Rutenbar, Rob A, 1957- | Antao, Brian | John Wiley & Sons [publisher.] | IEEE Xplore (Online service) [distributor.].
Material type: materialTypeLabelBookPublisher: Piscataway, New Jersey : IEEE Press, c2002Distributor: [Piscataqay, New Jersey] : IEEE Xplore, [2002]Description: 1 PDF (xi, 754 pages) : illustrations.Content type: text Media type: electronic Carrier type: online resourceISBN: 9780470544310.Subject(s): Integrated circuits -- Computer-aided design | Accuracy | Admittance | Algorithm design and analysis | Analog circuits | Analog integrated circuits | Analog-digital conversion | Analytical models | Annealing | Anodes | Application specific integrated circuits | Approximation algorithms | Approximation methods | Arrays | Artificial intelligence | Assembly | Atmospheric modeling | Biographies | Bismuth | Built-in self-test | CMOS integrated circuits | Capacitance | Capacitors | Cathodes | Central Processing Unit | Circuit analysis | Circuit faults | Circuit simulation | Circuit synthesis | Clocks | Compaction | Computational modeling | Computer architecture | Computers | Converters | Convex functions | Convolution | Cooling | Cost function | Couplings | Databases | Decoding | Degradation | Delta modulation | Design automation | Digital circuits | Distortion | Distortion measurement | Eigenvalues and eigenfunctions | Engines | Equalizers | Equations | Finite element methods | Finite impulse response filter | Fluctuations | Frequency conversion | Frequency domain analysis | Frequency estimation | Frequency modulation | Gain | Generators | Geometry | Graphics | Hardware | Hardware design languages | Harmonic analysis | Harmonic distortion | Heuristic algorithms | Impedance | Integrated circuit interconnections | Integrated circuit modeling | Integrated circuits | Interpolation | Inverters | Layout | Libraries | Load modeling | Logic gates | MOS devices | Macrocell networks | Manufacturing | Mathematical model | Matrices | Matrix decomposition | Merging | Microcomputers | Microwave filters | Mirrors | Monte Carlo methods | Niobium | Noise | Numerical models | Numerical simulation | Object oriented modeling | Operational amplifiers | Optimization | Optimization methods | Organizations | Partitioning algorithms | Peer to peer computing | Performance evaluation | Pins | Pluto | Poles and zeros | Polynomials | Positron emission tomography | Production | Programming | RLC circuits | Radio frequency | Receivers | Resistors | Resource management | Robustness | Routing | SPICE | Schedules | Semantics | Semiconductor process modeling | Sensitivity | Shape | Signal to noise ratio | Solid modeling | Space exploration | Sparse matrices | Stochastic processes | Substrates | Switches | Switching circuits | System-on-a-chip | Testing | Time domain analysis | Time frequency analysis | Timing | Topology | Trademarks | Transceivers | Transconductance | Transfer functions | Transient analysis | Transistors | Turbo codes | Uncertainty | Vectors | Viterbi algorithm | Wire | Wireless communication | Wires | Wiring | Yield estimationGenre/Form: Electronic books.Additional physical formats: Print version:: No titleDDC classification: 621.38150285 Online resources: Abstract with links to resource Also available in print.
Contents:
Preface -- Acknowledgments -- Computer-Aided Design of Analog and Mixed-Signal Integrated Circuits -- Design of Mixed-Signal Systems-on-a-Chip -- IDAC: An Interactive Design Tool for Analog CMOS Circuits -- OPASYN: A Compliler for CMOS Operational Amplifiers -- OASYS: A Framework for Analog Circuit Synthesis -- Analog Circuit Design Optimization Based on Symbolic Simulation and Simulated Annealing -- STAIC: An Interactive Framework for Synthesizing CMOS and BiCMOS Analog Circuits -- Integer Programming Based Topology Selection of Cell-Level Analog Circuits -- ARCHGEN: Automated Synthesis of Analog Systems -- DARWIN: CMOS Opamp Synthesis by Means of a Genetic Algorithm -- AMGIE: A Synthesis Environment for CMOS Analog Integrated Circuits -- A High-Level Design and Optimization Tool for Analog RF Receiver Front-Ends -- A Statistical Optimization-Based Approach for Automated Sizing of Analog Cells -- Synthesis of High-Performance Analog Circuits in ASTRX/OBLX -- MAELSTROM: Efficient Simulation-Based Synthesis for Custom Analog Cells -- Anaconda: Simulation-Based Synthesis of Analog Circuits Via Stochastic Pattern Search -- A Case Study of Synthesis for Industrial-Scale Analog IP: Redesign of the Equalizer/Filter Frontend for an ADSL CODEC -- WiCkeD: Analog Circuit Synthesis Incorporating Mismatch -- Optimal Design of a CMOS Op-Amp via Geometric Programming -- Techniques and Applications of Symbolic Analysis for Analog Integrated Circuits: A Tutorial Overview -- Flowgraph Analysis of Large Electronic Networks -- ISAAC: A Symbolic Simulator for Analog Integrated Circuits -- Interactive AC Modeling and Characterization of Analog Circuits via Symbolic Analysis -- SSCNAP: A Program for Symbolic Analysis of Switched Capacitor Circuits -- Efficient Symbolic Computation of Approximated Small-Signal Characteristics of Analog Integrated Circuits -- Efficient Approximation of Symbolic Network Functions Using Matroid Intersection Algorithms -- High-Frequency Distortion Analysis of Analog Integrated Circuits.
Canonical Symbolic Analysis of Large Analog Circuits with Determinant Decision Diagrams -- Layout Tools for Analog ICs and Mixed-Signal SoCs: A Survey -- ILAC: An Automated Layout Tool for Analog CMOS Circuits -- KOAN/ANAGRAM II: New Tools for Device-Level Analog Placement and Routing -- Automatic Generation of Parasitic Constraints for Performance-Constrained Physical Design of Analog Circuits -- Performance-Driven Compaction for Analog Integrated Circuits -- Automation of IC Layout with Analog Constraints -- A Performance-Driven Placement Tool for Analog Integrated Circuits -- Optimum CMOS Stack Generation with Analog Constraints -- Substrate-Aware Mixed-Signal Macrocell Placement in WRIGHT -- System-Level Routing of Mixed-Signal ASICs in WREN -- Addressing Substrate Coupling in Mixed-Mode IC's: Simulation and Power Distribution Synthesis -- Mondriaan: A Tool for Automated Layout Synthesis of Array-Type Analog Blocks -- Device-Level Early Floorplanning Algorithms for RF Circuits -- Macromodeling of Integrated Circuit Operational Amplifiers -- A Macromodeling Algorithm for Analog Circuits -- Consistency Checking and Optimization of Macromodels -- Computer-Aided Design Considerations for Mixed-Signal Coupling in RF Integrated Circuits -- Integration and Electrical Isolation in CMOS Mixed-Signal Wireless Chips -- Simulating and Testing Oversampled Analog-to-Digital Converters -- Simulation of Mixed Switched-Capacitor/Digital Networks with Signal-Driven Switches -- Behavioral Simulation for Analog System Design Verification -- Multilevel and Mixed-Domain Simulation of Analog Circuits and Systems -- Simulation Methods for RF Integrated Circuits -- VHDL-AMS - A Hardware Description Language for Analog and Mixed-Signal Applications -- DELIGHT.SPICE: An Optimization-Based System for the Design of Integrated Circuits -- Design Centering by Yield Prediction -- Statistical Integrated Circuit Design -- Yield Optimization of Analog IC's Using Two-Step Analytic Modeling Methods.
Circuit Analysis and Optimization Driven by Worst-Case Distances -- Efficient Analog Circuit Synthesis with Simultaneous Yield and Robustness Optimization -- Efficient Handling of Operating Range and Manufacturing Line Variations in Analog Cell Synthesis -- The Generalized Boundary Curve - A Common Method for Automatic Nominal Design and Design Centering of Analog Circuits -- Metrics, Techniques and Recent Developments in Mixed-Signal Testing -- A Tutorial Introduction to Research on Analog and Mixed-Signal Circuit Testing -- About the Editors.
Summary: The tools and techniques you need to break the analog design bottleneck! Ten years ago, analog seemed to be a dead-end technology. Today, System-on-Chip (SoC) designs are increasingly mixed-signal designs. With the advent of application-specific integrated circuits (ASIC) technologies that can integrate both analog and digital functions on a single chip, analog has become more crucial than ever to the design process. Today, designers are moving beyond hand-crafted, one-transistor-at-a-time methods. They are using new circuit and physical synthesis tools to design practical analog circuits; new modeling and analysis tools to allow rapid exploration of system level alternatives; and new simulation tools to provide accurate answers for analog circuit behaviors and interactions that were considered impossible to handle only a few years ago. To give circuit designers and CAD professionals a better understanding of the history and the current state of the art in the field, this volume collects in one place the essential set of analog CAD papers that form the foundation of today's new analog design automation tools. Areas covered are: . Analog synthesis. Symbolic analysis. Analog layout. Analog modeling and analysis. Specialized analog simulation. Circuit centering and yield optimization. Circuit testing Computer-Aided Design of Analog Integrated Circuits and Systems is the cutting-edge reference that will be an invaluable resource for every semiconductor circuit designer and CAD professional who hopes to break the analog design bottleneck.
    average rating: 0.0 (0 votes)
No physical items for this record

"A selected reprint volume."

Includes bibliographical references.

Preface -- Acknowledgments -- Computer-Aided Design of Analog and Mixed-Signal Integrated Circuits -- Design of Mixed-Signal Systems-on-a-Chip -- IDAC: An Interactive Design Tool for Analog CMOS Circuits -- OPASYN: A Compliler for CMOS Operational Amplifiers -- OASYS: A Framework for Analog Circuit Synthesis -- Analog Circuit Design Optimization Based on Symbolic Simulation and Simulated Annealing -- STAIC: An Interactive Framework for Synthesizing CMOS and BiCMOS Analog Circuits -- Integer Programming Based Topology Selection of Cell-Level Analog Circuits -- ARCHGEN: Automated Synthesis of Analog Systems -- DARWIN: CMOS Opamp Synthesis by Means of a Genetic Algorithm -- AMGIE: A Synthesis Environment for CMOS Analog Integrated Circuits -- A High-Level Design and Optimization Tool for Analog RF Receiver Front-Ends -- A Statistical Optimization-Based Approach for Automated Sizing of Analog Cells -- Synthesis of High-Performance Analog Circuits in ASTRX/OBLX -- MAELSTROM: Efficient Simulation-Based Synthesis for Custom Analog Cells -- Anaconda: Simulation-Based Synthesis of Analog Circuits Via Stochastic Pattern Search -- A Case Study of Synthesis for Industrial-Scale Analog IP: Redesign of the Equalizer/Filter Frontend for an ADSL CODEC -- WiCkeD: Analog Circuit Synthesis Incorporating Mismatch -- Optimal Design of a CMOS Op-Amp via Geometric Programming -- Techniques and Applications of Symbolic Analysis for Analog Integrated Circuits: A Tutorial Overview -- Flowgraph Analysis of Large Electronic Networks -- ISAAC: A Symbolic Simulator for Analog Integrated Circuits -- Interactive AC Modeling and Characterization of Analog Circuits via Symbolic Analysis -- SSCNAP: A Program for Symbolic Analysis of Switched Capacitor Circuits -- Efficient Symbolic Computation of Approximated Small-Signal Characteristics of Analog Integrated Circuits -- Efficient Approximation of Symbolic Network Functions Using Matroid Intersection Algorithms -- High-Frequency Distortion Analysis of Analog Integrated Circuits.

Canonical Symbolic Analysis of Large Analog Circuits with Determinant Decision Diagrams -- Layout Tools for Analog ICs and Mixed-Signal SoCs: A Survey -- ILAC: An Automated Layout Tool for Analog CMOS Circuits -- KOAN/ANAGRAM II: New Tools for Device-Level Analog Placement and Routing -- Automatic Generation of Parasitic Constraints for Performance-Constrained Physical Design of Analog Circuits -- Performance-Driven Compaction for Analog Integrated Circuits -- Automation of IC Layout with Analog Constraints -- A Performance-Driven Placement Tool for Analog Integrated Circuits -- Optimum CMOS Stack Generation with Analog Constraints -- Substrate-Aware Mixed-Signal Macrocell Placement in WRIGHT -- System-Level Routing of Mixed-Signal ASICs in WREN -- Addressing Substrate Coupling in Mixed-Mode IC's: Simulation and Power Distribution Synthesis -- Mondriaan: A Tool for Automated Layout Synthesis of Array-Type Analog Blocks -- Device-Level Early Floorplanning Algorithms for RF Circuits -- Macromodeling of Integrated Circuit Operational Amplifiers -- A Macromodeling Algorithm for Analog Circuits -- Consistency Checking and Optimization of Macromodels -- Computer-Aided Design Considerations for Mixed-Signal Coupling in RF Integrated Circuits -- Integration and Electrical Isolation in CMOS Mixed-Signal Wireless Chips -- Simulating and Testing Oversampled Analog-to-Digital Converters -- Simulation of Mixed Switched-Capacitor/Digital Networks with Signal-Driven Switches -- Behavioral Simulation for Analog System Design Verification -- Multilevel and Mixed-Domain Simulation of Analog Circuits and Systems -- Simulation Methods for RF Integrated Circuits -- VHDL-AMS - A Hardware Description Language for Analog and Mixed-Signal Applications -- DELIGHT.SPICE: An Optimization-Based System for the Design of Integrated Circuits -- Design Centering by Yield Prediction -- Statistical Integrated Circuit Design -- Yield Optimization of Analog IC's Using Two-Step Analytic Modeling Methods.

Circuit Analysis and Optimization Driven by Worst-Case Distances -- Efficient Analog Circuit Synthesis with Simultaneous Yield and Robustness Optimization -- Efficient Handling of Operating Range and Manufacturing Line Variations in Analog Cell Synthesis -- The Generalized Boundary Curve - A Common Method for Automatic Nominal Design and Design Centering of Analog Circuits -- Metrics, Techniques and Recent Developments in Mixed-Signal Testing -- A Tutorial Introduction to Research on Analog and Mixed-Signal Circuit Testing -- About the Editors.

Restricted to subscribers or individual electronic text purchasers.

The tools and techniques you need to break the analog design bottleneck! Ten years ago, analog seemed to be a dead-end technology. Today, System-on-Chip (SoC) designs are increasingly mixed-signal designs. With the advent of application-specific integrated circuits (ASIC) technologies that can integrate both analog and digital functions on a single chip, analog has become more crucial than ever to the design process. Today, designers are moving beyond hand-crafted, one-transistor-at-a-time methods. They are using new circuit and physical synthesis tools to design practical analog circuits; new modeling and analysis tools to allow rapid exploration of system level alternatives; and new simulation tools to provide accurate answers for analog circuit behaviors and interactions that were considered impossible to handle only a few years ago. To give circuit designers and CAD professionals a better understanding of the history and the current state of the art in the field, this volume collects in one place the essential set of analog CAD papers that form the foundation of today's new analog design automation tools. Areas covered are: . Analog synthesis. Symbolic analysis. Analog layout. Analog modeling and analysis. Specialized analog simulation. Circuit centering and yield optimization. Circuit testing Computer-Aided Design of Analog Integrated Circuits and Systems is the cutting-edge reference that will be an invaluable resource for every semiconductor circuit designer and CAD professional who hopes to break the analog design bottleneck.

Also available in print.

Mode of access: World Wide Web

Description based on PDF viewed 12/21/2015.

There are no comments for this item.

Log in to your account to post a comment.