Normal view MARC view ISBD view

Circadian Rhythms for Future Resilient Electronic Systems [electronic resource] : Accelerated Active Self-Healing for Integrated Circuits / by Xinfei Guo, Mircea R. Stan.

By: Guo, Xinfei [author.].
Contributor(s): Stan, Mircea R [author.] | SpringerLink (Online service).
Material type: materialTypeLabelBookPublisher: Cham : Springer International Publishing : Imprint: Springer, 2020Edition: 1st ed. 2020.Description: XIX, 208 p. 136 illus., 134 illus. in color. online resource.Content type: text Media type: computer Carrier type: online resourceISBN: 9783030200510.Subject(s): Electronic circuits | Microprocessors | Computer architecture | Electronic Circuits and Systems | Processor ArchitecturesAdditional physical formats: Printed edition:: No title; Printed edition:: No title; Printed edition:: No titleDDC classification: 621.3815 Online resources: Click here to access online
Contents:
Introduction to Wearout -- Accelerated Self-Healing Techniques for BTI Wearout -- Accelerating and Activating Recovery for EM Wearout -- Circuit Techniques for Accelerated and Active Recovery -- Accelerated Self-Healing as a Key Design Knob for Cross-Layer Resilience -- Design and Aging Challenges in FinFET Circuits and Internet of Things (IoT) Applications -- Future Directions in Self-Healing.
In: Springer Nature eBookSummary: This book describes methods to address wearout/aging degradations in electronic chips and systems, caused by several physical mechanisms at the device level. The authors introduce a novel technique called accelerated active self-healing, which fixes wearout issues by enabling accelerated recovery. Coverage includes recovery theory, experimental results, implementations and applications, across multiple nodes ranging from planar, FD-SOI to FinFET, based on both foundry provided models and predictive models. Presents novel techniques, tested with experiments on real hardware; Discusses circuit and system level wearout recovery implementations, many of these designs are portable and friendly to the standard design flow; Provides circuit-architecture-system infrastructures that enable the accelerated self-healing for future resilient systems; Discusses wearout issues at both transistor and interconnect level, providing solutions that apply to both; Includes coverage of resilient aspects of emerging applications such as IoT.
    average rating: 0.0 (0 votes)
No physical items for this record

Introduction to Wearout -- Accelerated Self-Healing Techniques for BTI Wearout -- Accelerating and Activating Recovery for EM Wearout -- Circuit Techniques for Accelerated and Active Recovery -- Accelerated Self-Healing as a Key Design Knob for Cross-Layer Resilience -- Design and Aging Challenges in FinFET Circuits and Internet of Things (IoT) Applications -- Future Directions in Self-Healing.

This book describes methods to address wearout/aging degradations in electronic chips and systems, caused by several physical mechanisms at the device level. The authors introduce a novel technique called accelerated active self-healing, which fixes wearout issues by enabling accelerated recovery. Coverage includes recovery theory, experimental results, implementations and applications, across multiple nodes ranging from planar, FD-SOI to FinFET, based on both foundry provided models and predictive models. Presents novel techniques, tested with experiments on real hardware; Discusses circuit and system level wearout recovery implementations, many of these designs are portable and friendly to the standard design flow; Provides circuit-architecture-system infrastructures that enable the accelerated self-healing for future resilient systems; Discusses wearout issues at both transistor and interconnect level, providing solutions that apply to both; Includes coverage of resilient aspects of emerging applications such as IoT.

There are no comments for this item.

Log in to your account to post a comment.