Normal view MARC view ISBD view

Computing Platforms for Software-Defined Radio [electronic resource] / edited by Waqar Hussain, Jari Nurmi, Jouni Isoaho, Fabio Garzia.

Contributor(s): Hussain, Waqar [editor.] | Nurmi, Jari [editor.] | Isoaho, Jouni [editor.] | Garzia, Fabio [editor.] | SpringerLink (Online service).
Material type: materialTypeLabelBookPublisher: Cham : Springer International Publishing : Imprint: Springer, 2017Edition: 1st ed. 2017.Description: XII, 240 p. 19 illus., 9 illus. in color. online resource.Content type: text Media type: computer Carrier type: online resourceISBN: 9783319496795.Subject(s): Electronic circuits | Signal processing | Microprocessors | Computer architecture | Electronic Circuits and Systems | Signal, Speech and Image Processing | Processor ArchitecturesAdditional physical formats: Printed edition:: No title; Printed edition:: No title; Printed edition:: No titleDDC classification: 621.3815 Online resources: Click here to access online
Contents:
Chapter1. The Evolution of Software Defined Radio - An Introduction -- Part I: Architectures, Designs and Implementations -- Chapter2. Design Transformation from a Single-Core to a Multi-Core Architecture targeting Massively-Parallel Signal Processing Algorithms -- Chapter3. The CoreVA-MPSoC - A Multiprocessor Platform for Software-Defined Radio -- Chapter4. Design and Implementation of IEEE 802.11a/g Receiver Blocks on a Coarse-Grained Reconfigurable Array -- Chapter5. Reconfigurable Multiprocessor Systems-on-Chip -- Chapter6. NineSilica: A Homogeneous MPSoC approach for SDR platforms -- Part II: Software-based Radio Cognition and Implementation Tools -- Chapter7. Application of the Scalable Communications Core as an SDR Baseband -- Chapter8. HW/SW Co-Design Toolset for Customization of Exposed Datapath Processors -- Chapter9. FPGA-based Cognitive Radio Platform with Reconfigurable Front-End and Antenna -- Chapter10. Synchronization in NC-OFDM-Based CR Platforms -- Chapter11. Towards Adaptive Cryptography and Security with Software Defined Platforms -- Chapter12. The Future of Software-Defined Radio-Recommendations.
In: Springer Nature eBookSummary: This book addresses Software-Defined Radio (SDR) baseband processing from the computer architecture point of view, providing a detailed exploration of different computing platforms by classifying different approaches, highlighting the common features related to SDR requirements and by showing pros and cons of the proposed solutions. Coverage includes architectures exploiting parallelism by extending single-processor environment (such as VLIW, SIMD, TTA approaches), multi-core platforms distributing the computation to either a homogeneous array or a set of specialized heterogeneous processors, and architectures exploiting fine-grained, coarse-grained, or hybrid reconfigurability. Describes a computer engineering approach to SDR baseband processing hardware; Discusses implementation of numerous compute-intensive signal processing algorithms on single and multicore platforms; Enables deep understanding of optimization techniques related to power and energy consumption of multicore platforms using several basic and high-level performance indicators; Includes prototyping details of single and multicore platforms on ASICs and FPGAs.
    average rating: 0.0 (0 votes)
No physical items for this record

Chapter1. The Evolution of Software Defined Radio - An Introduction -- Part I: Architectures, Designs and Implementations -- Chapter2. Design Transformation from a Single-Core to a Multi-Core Architecture targeting Massively-Parallel Signal Processing Algorithms -- Chapter3. The CoreVA-MPSoC - A Multiprocessor Platform for Software-Defined Radio -- Chapter4. Design and Implementation of IEEE 802.11a/g Receiver Blocks on a Coarse-Grained Reconfigurable Array -- Chapter5. Reconfigurable Multiprocessor Systems-on-Chip -- Chapter6. NineSilica: A Homogeneous MPSoC approach for SDR platforms -- Part II: Software-based Radio Cognition and Implementation Tools -- Chapter7. Application of the Scalable Communications Core as an SDR Baseband -- Chapter8. HW/SW Co-Design Toolset for Customization of Exposed Datapath Processors -- Chapter9. FPGA-based Cognitive Radio Platform with Reconfigurable Front-End and Antenna -- Chapter10. Synchronization in NC-OFDM-Based CR Platforms -- Chapter11. Towards Adaptive Cryptography and Security with Software Defined Platforms -- Chapter12. The Future of Software-Defined Radio-Recommendations.

This book addresses Software-Defined Radio (SDR) baseband processing from the computer architecture point of view, providing a detailed exploration of different computing platforms by classifying different approaches, highlighting the common features related to SDR requirements and by showing pros and cons of the proposed solutions. Coverage includes architectures exploiting parallelism by extending single-processor environment (such as VLIW, SIMD, TTA approaches), multi-core platforms distributing the computation to either a homogeneous array or a set of specialized heterogeneous processors, and architectures exploiting fine-grained, coarse-grained, or hybrid reconfigurability. Describes a computer engineering approach to SDR baseband processing hardware; Discusses implementation of numerous compute-intensive signal processing algorithms on single and multicore platforms; Enables deep understanding of optimization techniques related to power and energy consumption of multicore platforms using several basic and high-level performance indicators; Includes prototyping details of single and multicore platforms on ASICs and FPGAs.

There are no comments for this item.

Log in to your account to post a comment.