000 02515nam a22004935i 4500
001 978-3-319-02547-6
003 DE-He213
005 20200420220220.0
007 cr nn 008mamaa
008 131021s2014 gw | s |||| 0|eng d
020 _a9783319025476
_9978-3-319-02547-6
024 7 _a10.1007/978-3-319-02547-6
_2doi
050 4 _aTK7888.4
072 7 _aTJFC
_2bicssc
072 7 _aTEC008010
_2bisacsh
082 0 4 _a621.3815
_223
100 1 _aBezerra, Eduardo Augusto.
_eauthor.
245 1 0 _aSynthesizable VHDL Design for FPGAs
_h[electronic resource] /
_cby Eduardo Augusto Bezerra, Djones Vinicius Lettnin.
264 1 _aCham :
_bSpringer International Publishing :
_bImprint: Springer,
_c2014.
300 _aVII, 157 p. 174 illus.
_bonline resource.
336 _atext
_btxt
_2rdacontent
337 _acomputer
_bc
_2rdamedia
338 _aonline resource
_bcr
_2rdacarrier
347 _atext file
_bPDF
_2rda
505 0 _aDigital Systems, FPGAs and the Design Flow -- HDL Based Designs -- Hierarchical Design -- Multiplexer and Demultiplexer -- Code Converters -- Sequential Circuits, Latches and Flip-Flops -- Synthesis of Finite State Machines -- Finite State Machines as Control Modules -- Processes in Details -- Arithmetic Circuits -- VHDL Design Examples for FPGA Synthesis.
520 _aThis book provides a gradual description of very-high-speed integrated circuits hardware description language (VHDL), targeting the design of digital systems to be implemented in field-programmable gate array (FPGA) platforms. It is organized in a very didactic way. The adopted methodolgy was matured over 20 years of teaching experience in the subject. The examples in the book were planned targeting two FPGA platforms, one used widely around the world and the other one developed by a Brazilian company.
650 0 _aEngineering.
650 0 _aSoftware engineering.
650 0 _aElectronics.
650 0 _aMicroelectronics.
650 0 _aElectronic circuits.
650 1 4 _aEngineering.
650 2 4 _aCircuits and Systems.
650 2 4 _aElectronics and Microelectronics, Instrumentation.
650 2 4 _aSoftware Engineering/Programming and Operating Systems.
700 1 _aLettnin, Djones Vinicius.
_eauthor.
710 2 _aSpringerLink (Online service)
773 0 _tSpringer eBooks
776 0 8 _iPrinted edition:
_z9783319025469
856 4 0 _uhttp://dx.doi.org/10.1007/978-3-319-02547-6
912 _aZDB-2-ENG
942 _cEBK
999 _c51842
_d51842