000 04136nam a22005775i 4500
001 978-94-007-6799-7
003 DE-He213
005 20200421111853.0
007 cr nn 008mamaa
008 130717s2014 ne | s |||| 0|eng d
020 _a9789400767997
_9978-94-007-6799-7
024 7 _a10.1007/978-94-007-6799-7
_2doi
050 4 _aTK7867-7867.5
072 7 _aTJFC
_2bicssc
072 7 _aTJFD5
_2bicssc
072 7 _aTEC008010
_2bisacsh
082 0 4 _a621.3815
_223
100 1 _aGonzalez Ruiz, Pilar.
_eauthor.
245 1 0 _aPoly-SiGe for MEMS-above-CMOS Sensors
_h[electronic resource] /
_cby Pilar Gonzalez Ruiz, Kristin De Meyer, Ann Witvrouw.
264 1 _aDordrecht :
_bSpringer Netherlands :
_bImprint: Springer,
_c2014.
300 _aXVI, 199 p.
_bonline resource.
336 _atext
_btxt
_2rdacontent
337 _acomputer
_bc
_2rdamedia
338 _aonline resource
_bcr
_2rdacarrier
347 _atext file
_bPDF
_2rda
490 1 _aSpringer Series in Advanced Microelectronics,
_x1437-0387 ;
_v44
505 0 _aAcknowledgements -- Abstract -- Symbols and Abbreviations -- Introduction -- Poly-SiGe As Piezoresistive Material -- Design of a Poly-SiGe Piezoresistive Pressure Sensor -- The Pressure Sensor Fabrication Process -- Sealing of Surface Micromachined Poly-SiGe Cavities -- Characterization of Poly-SiGe pressure sensors -- CMOS Integrated Poly-SiGe Piezoresistive Pressure Sensor -- Conclusions And Future Work -- Appendix A -- Appendix B -- Appendix C -- Appendix D.
520 _aPolycrystalline SiGe has emerged as a promising MEMS (Microelectromechanical Systems) structural material since it provides the desired mechanical properties at lower temperatures compared to poly-Si, allowing the direct post-processing on top of CMOS. This CMOS-MEMS monolithic integration can lead to more compact MEMS with improved performance. The potential of poly-SiGe for MEMS above-aluminum-backend CMOS integration has already been demonstrated. However, aggressive interconnect scaling has led to the replacement of the traditional aluminum metallization by copper (Cu) metallization, due to its lower resistivity and improved reliability. Poly-SiGe for MEMS-above-CMOS sensors demonstrates the compatibility of poly-SiGe with post-processing above the advanced CMOS technology nodes through the successful fabrication of an integrated poly-SiGe piezoresistive pressure sensor, directly fabricated above 0.13 m Cu-backend CMOS. Furthermore, this book presents the first detailed investigation on the influence of deposition conditions, germanium content and doping concentration on the electrical and piezoresistive properties of boron-doped poly-SiGe. The development of a CMOS-compatible process flow, with special attention to the sealing method, is also described. Piezoresistive pressure sensors with different areas and piezoresistor designs were fabricated and tested. Together with the piezoresistive pressure sensors, also functional capacitive pressure sensors were successfully fabricated on the same wafer, proving the versatility of poly-SiGe for MEMS sensor applications. Finally, a detailed analysis of the MEMS processing impact on the underlying CMOS circuit is also presented.
650 0 _aPhysics.
650 0 _aElectronic circuits.
650 0 _aNanotechnology.
650 0 _aOptical materials.
650 0 _aElectronic materials.
650 0 _aMaterials science.
650 1 4 _aPhysics.
650 2 4 _aElectronic Circuits and Devices.
650 2 4 _aCircuits and Systems.
650 2 4 _aOptical and Electronic Materials.
650 2 4 _aNanotechnology and Microengineering.
650 2 4 _aCharacterization and Evaluation of Materials.
700 1 _aDe Meyer, Kristin.
_eauthor.
700 1 _aWitvrouw, Ann.
_eauthor.
710 2 _aSpringerLink (Online service)
773 0 _tSpringer eBooks
776 0 8 _iPrinted edition:
_z9789400767980
830 0 _aSpringer Series in Advanced Microelectronics,
_x1437-0387 ;
_v44
856 4 0 _uhttp://dx.doi.org/10.1007/978-94-007-6799-7
912 _aZDB-2-ENG
942 _cEBK
999 _c56219
_d56219