000 03591nam a22005055i 4500
001 978-3-319-00533-1
003 DE-He213
005 20200421112227.0
007 cr nn 008mamaa
008 130611s2014 gw | s |||| 0|eng d
020 _a9783319005331
_9978-3-319-00533-1
024 7 _a10.1007/978-3-319-00533-1
_2doi
050 4 _aTK7888.4
072 7 _aTJFC
_2bicssc
072 7 _aTEC008010
_2bisacsh
082 0 4 _a621.3815
_223
100 1 _aLiu, Xiao.
_eauthor.
245 1 0 _aTrace-Based Post-Silicon Validation for VLSI Circuits
_h[electronic resource] /
_cby Xiao Liu, Qiang Xu.
264 1 _aHeidelberg :
_bSpringer International Publishing :
_bImprint: Springer,
_c2014.
300 _aXV, 108 p. 59 illus., 38 illus. in color.
_bonline resource.
336 _atext
_btxt
_2rdacontent
337 _acomputer
_bc
_2rdamedia
338 _aonline resource
_bcr
_2rdacarrier
347 _atext file
_bPDF
_2rda
490 1 _aLecture Notes in Electrical Engineering,
_x1876-1100 ;
_v252
505 0 _aIntroduction -- State of the Art on Post-Silicon Validation -- Signal Selection for Visibility Enhancement -- Multiplexed Tracing for Design Error -- Tracing for Electrical Error -- Reusing Test Access Mechanisms -- Interconnection Fabric for Flexible Tracing -- Interconnection Fabric for Systematic Tracing -- Conclusion.
520 _aThis book first provides a comprehensive coverage of state-of-the-art validation solutions based on real-time signal tracing to guarantee the correctness of VLSI circuits.  The authors discuss several key challenges in post-silicon validation and provide automated solutions that are systematic and cost-effective.  A series of automatic tracing solutions and innovative design for debug (DfD) techniques are described, including techniques for trace signal selection for enhancing visibility of functional errors, a multiplexed signal tracing strategy for improving functional error detection, a tracing solution for debugging electrical errors, an interconnection fabric for increasing data bandwidth and supporting multi-core debug, an interconnection fabric design and optimization technique to increase transfer flexibility and a DfD design and associated tracing solution for improving debug efficiency and expanding tracing window. The solutions presented in this book improve the validation quality of VLSI circuits, and ultimately enable the design and fabrication of reliable electronic devices. �         Provides a comprehensive summary of state-of-the-art on post-silicon validation; �         Offers automated solutions that are systematic and cost-effective for post-silicon validation, from trace signal selection to trace data transfer; �         Illustrate key concepts and algorithms with real examples.        .
650 0 _aEngineering.
650 0 _aMicroprocessors.
650 0 _aSemiconductors.
650 0 _aElectronic circuits.
650 1 4 _aEngineering.
650 2 4 _aCircuits and Systems.
650 2 4 _aProcessor Architectures.
650 2 4 _aSemiconductors.
700 1 _aXu, Qiang.
_eauthor.
710 2 _aSpringerLink (Online service)
773 0 _tSpringer eBooks
776 0 8 _iPrinted edition:
_z9783319005324
830 0 _aLecture Notes in Electrical Engineering,
_x1876-1100 ;
_v252
856 4 0 _uhttp://dx.doi.org/10.1007/978-3-319-00533-1
912 _aZDB-2-ENG
942 _cEBK
999 _c57730
_d57730