000 03603nam a22005175i 4500
001 978-3-319-15955-3
003 DE-He213
005 20200421112546.0
007 cr nn 008mamaa
008 150220s2015 gw | s |||| 0|eng d
020 _a9783319159553
_9978-3-319-15955-3
024 7 _a10.1007/978-3-319-15955-3
_2doi
050 4 _aTK7888.4
072 7 _aTJFC
_2bicssc
072 7 _aTEC008010
_2bisacsh
082 0 4 _a621.3815
_223
100 1 _aLouren�co, Ricardo.
_eauthor.
245 1 0 _aAIDA-CMK: Multi-Algorithm Optimization Kernel Applied to Analog IC Sizing
_h[electronic resource] /
_cby Ricardo Louren�co, Nuno Louren�co, Nuno Horta.
264 1 _aCham :
_bSpringer International Publishing :
_bImprint: Springer,
_c2015.
300 _aXI, 64 p. 35 illus., 5 illus. in color.
_bonline resource.
336 _atext
_btxt
_2rdacontent
337 _acomputer
_bc
_2rdamedia
338 _aonline resource
_bcr
_2rdacarrier
347 _atext file
_bPDF
_2rda
490 1 _aSpringerBriefs in Applied Sciences and Technology,
_x2191-530X
505 0 _aIntroduction -- Previous works on automated analog IC sizing -- AIDA-CMK: AIDA-C with MOO framework -- Multi-objective framework implementation -- Kernel validation using CEC2009 benchmarks -- Results for analog IC design -- Conclusion and Future work.
520 _aThis work addresses the research and development of an innovative optimization kernel applied to analog integrated circuit (IC) design. Particularly, this works describes the modifications inside the AIDA Framework, an electronic design automation framework fully developed by at the Integrated Circuits Group-LX of the Instituto de Telecomunica�c�oes, Lisbon. It focusses on AIDA-CMK, by enhancing AIDA-C, which is the circuit optimizer component of AIDA, with a new multi-objective multi-constraint optimization module that constructs a base for multiple algorithm implementations. The proposed solution implements three approaches to multi-objective multi-constraint optimization, namely, an evolutionary approach with NSGAII, a swarm intelligence approach with MOPSO and stochastic hill climbing approach with MOSA. Moreover, the implemented structure allows the easy hybridization between kernels transforming the previous simple NSGAII optimization module into a more evolved and versatile module supporting multiple single and multi-kernel algorithms.The three multi-objective optimization approaches were validated with CEC2009 benchmarks to constrained multi-objective optimization and tested with real analog IC design problems. The achieved results were compared in terms of performance, using statistical results obtained from multiple independent runs. Finally, some hybrid approaches were also experimented, giving a foretaste to a wide range of opportunities to explore in future work.
650 0 _aEngineering.
650 0 _aComputer-aided engineering.
650 0 _aComputational intelligence.
650 0 _aElectronic circuits.
650 1 4 _aEngineering.
650 2 4 _aCircuits and Systems.
650 2 4 _aComputer-Aided Engineering (CAD, CAE) and Design.
650 2 4 _aComputational Intelligence.
700 1 _aLouren�co, Nuno.
_eauthor.
700 1 _aHorta, Nuno.
_eauthor.
710 2 _aSpringerLink (Online service)
773 0 _tSpringer eBooks
776 0 8 _iPrinted edition:
_z9783319159546
830 0 _aSpringerBriefs in Applied Sciences and Technology,
_x2191-530X
856 4 0 _uhttp://dx.doi.org/10.1007/978-3-319-15955-3
912 _aZDB-2-ENG
942 _cEBK
999 _c58572
_d58572