000 04319nam a22005535i 4500
001 978-3-319-31596-6
003 DE-He213
005 20220801215526.0
007 cr nn 008mamaa
008 161231s2017 sz | s |||| 0|eng d
020 _a9783319315966
_9978-3-319-31596-6
024 7 _a10.1007/978-3-319-31596-6
_2doi
050 4 _aTK7867-7867.5
072 7 _aTJFC
_2bicssc
072 7 _aTEC008010
_2bisacsh
072 7 _aTJFC
_2thema
082 0 4 _a621.3815
_223
245 1 4 _aThe Dark Side of Silicon
_h[electronic resource] :
_bEnergy Efficient Computing in the Dark Silicon Era /
_cedited by Amir M. Rahmani, Pasi Liljeberg, Ahmed Hemani, Axel Jantsch, Hannu Tenhunen.
250 _a1st ed. 2017.
264 1 _aCham :
_bSpringer International Publishing :
_bImprint: Springer,
_c2017.
300 _aVI, 347 p. 152 illus., 116 illus. in color.
_bonline resource.
336 _atext
_btxt
_2rdacontent
337 _acomputer
_bc
_2rdamedia
338 _aonline resource
_bcr
_2rdacarrier
347 _atext file
_bPDF
_2rda
505 0 _aIntroduction -- Dark vs. Dim Silicon and Near-Threshold Computing -- The SiLago Solution: Architecture and Design Methods for a Heterogeneous Dark Silicon aware Coarse Grain Reconfigurable Fabric -- Heterogeneous Dark Silicon Chip Multi-Processors Design and Run-time Management -- Thermal Safe Power (TSP) - Efficient Thermal-Aware Power Budgeting for Manycore Systems in Dark Silicon -- Power Management of Asymmetric Multi-Cores in the Dark Silicon Era -- Multi-Objective Power Management for CMPs in the Dark Silicon Age -- Robust Application Scheduling with Adaptive Parallelism in Dark-Silicon Constrained Multicore Systems -- Dark Silicon Patterning: Efficient Power Utilization through Run-time Mapping -- Online Software-Based Self-Testing in the Dark Silicon Era -- Adroit Use of Dark Silicon for Power, Performance and Reliability Optimization of NoCs -- NoC-aware Computational Sprinting.-.
520 _aThis book presents the state-of-the art of one of the main concerns with microprocessors today, a phenomenon known as "dark silicon". Readers will learn how power constraints (both leakage and dynamic power) limit the extent to which large portions of a chip can be powered up at a given time, i.e. how much actual performance and functionality the microprocessor can provide. The authors describe their research toward the future of microprocessor development in the dark silicon era, covering a variety of important aspects of dark silicon-aware architectures including design, management, reliability, and test. Readers will benefit from specific recommendations for mitigating the dark silicon phenomenon, including energy-efficient, dedicated solutions and technologies to maximize the utilization and reliability of microprocessors. Enables readers to understand the dark silicon phenomenon and why it has emerged, including detailed analysis of its impacts; Presents state-of-the-art research, as well as tools for mitigating the dark silicon phenomenon; Includes coverage of various aspects of dark silicon awareness in design, management, reliability, and tests.
650 0 _aElectronic circuits.
_919581
650 0 _aMicroprocessors.
_944899
650 0 _aComputer architecture.
_93513
650 1 4 _aElectronic Circuits and Systems.
_944900
650 2 4 _aProcessor Architectures.
_944901
700 1 _aRahmani, Amir M.
_eeditor.
_4edt
_4http://id.loc.gov/vocabulary/relators/edt
_944902
700 1 _aLiljeberg, Pasi.
_eeditor.
_4edt
_4http://id.loc.gov/vocabulary/relators/edt
_944903
700 1 _aHemani, Ahmed.
_eeditor.
_4edt
_4http://id.loc.gov/vocabulary/relators/edt
_944904
700 1 _aJantsch, Axel.
_eeditor.
_4edt
_4http://id.loc.gov/vocabulary/relators/edt
_944905
700 1 _aTenhunen, Hannu.
_eeditor.
_4edt
_4http://id.loc.gov/vocabulary/relators/edt
_944906
710 2 _aSpringerLink (Online service)
_944907
773 0 _tSpringer Nature eBook
776 0 8 _iPrinted edition:
_z9783319315942
776 0 8 _iPrinted edition:
_z9783319315959
776 0 8 _iPrinted edition:
_z9783319810744
856 4 0 _uhttps://doi.org/10.1007/978-3-319-31596-6
912 _aZDB-2-ENG
912 _aZDB-2-SXE
942 _cEBK
999 _c77582
_d77582